• FPGA串口回环实验


    1 UART 串口简介

    UART(通用异步收发传输器,Universal Asynchronous Receiver/Transmitter)是一种串行通信接口,它允许计算机或其他数字设备通过串行通信方式发送和接收数据。
    UART 串口通信需要两根信号线来实现,一根用于串口发送,另外一根负责串口接收。 
    UART 在发送 或接收过程中的一帧数据由 4 部分组成, 起始位 数据位 奇偶校验位 停止位

    LSB,即最低有效位,指的是一个二进制数字中最右边的那一位,这一位的值代表的是数值中的最小单位。
    MSB,即最高有效位,指的是一个二进制数字中最左边的那一位,这一位的值决定了整个数值的符号(在有符号数表示中)以及数量级(在无符号数或浮点数表示中)。
    校验:
    验位分为奇校验和偶校验,用于检验数据在传输过程中是否出错。奇校验时,发送方应使数据位中 1 的个 数与校验位中 1 的个数之和为奇数;接收方在接收数据时,对 1 的个数进行检查,若不为奇数,则说明数 据在传输过程中出了差错。同样,偶校验则检查 1 的个数是否为偶数。
    传输速率:

    串口通信的速率用波特率表示,它表示每秒传输二进制数据的位数,单位是 bps(位/秒),常用的波特率有 9600、19200、3840057600 以及 115200 等。

    2 实验任务

    上位机通过串口调试助手发送数据给 MPSoC MPSoC PL 端通过 UART 串口接收数据并将接收到的数据发送给上位机,完成串口数据环回。

    3 实验设计

    由系统总体框图可知, MPSoC PL 部分包括四个模块,顶层模块、接收模块、发送模块和数据环回模块。
    串口通信的数据格式及波特率:
    数据位为 8 位,停止位为 1 位,无校验位,波特率为 115200bps
    uart_loopback_top.v
    顶层模块,负责将各个模块链接起来。
    1. `timescale 1ns / 1ps
    2. module uart_loopback_top (
    3. input sys_clk_p, //系统差分输入时钟
    4. input sys_clk_n, //系统差分输入时钟
    5. input sys_rst_n, //外部复位信号,低有效
    6. input uart_rxd, //UART接收端口
    7. output uart_txd //UART发送端口
    8. );
    9. //parameter define
    10. parameter CLK_FREQ = 100000000; //定义系统时钟频率
    11. parameter UART_BPS = 115200; //定义串口波特率
    12. //wire define
    13. wire uart_recv_done; //UART接收完成
    14. wire [7:0] uart_recv_data; //UART接收数据
    15. wire uart_send_en; //UART发送使能
    16. wire [7:0] uart_send_data; //UART发送数据
    17. wire uart_tx_busy; //UART发送忙状态标志
    18. //*****************************************************
    19. //** main code
    20. //*****************************************************
    21. //转换差分信号
    22. IBUFDS diff_clock (
    23. .I (sys_clk_p), //系统差分输入时钟
    24. .IB(sys_clk_n), //系统差分输入时钟
    25. .O (sys_clk) //输出系统时钟
    26. );
    27. //串口接收模块
    28. uart_recv #(
    29. .CLK_FREQ(CLK_FREQ), //设置系统时钟频率
    30. .UART_BPS(UART_BPS)
    31. )
    32. //设置串口接收波特率
    33. u_uart_recv (
    34. .sys_clk (sys_clk),
    35. .sys_rst_n(sys_rst_n),
    36. .uart_rxd (uart_rxd),
    37. .uart_done(uart_recv_done),
    38. .uart_data(uart_recv_data)
    39. );
    40. //串口发送模块
    41. uart_send #(
    42. .CLK_FREQ(CLK_FREQ), //设置系统时钟频率
    43. .UART_BPS(UART_BPS)
    44. )
    45. //设置串口发送波特率
    46. u_uart_send (
    47. .sys_clk (sys_clk),
    48. .sys_rst_n(sys_rst_n),
    49. .uart_en (uart_send_en),
    50. .uart_din (uart_send_data),
    51. .uart_tx_busy(uart_tx_busy),
    52. .uart_txd (uart_txd)
    53. );
    54. //串口环回模块
    55. uart_loop u_uart_loop (
    56. .sys_clk (sys_clk),
    57. .sys_rst_n(sys_rst_n),
    58. .recv_done(uart_recv_done), //接收一帧数据完成标志信号
    59. .recv_data(uart_recv_data), //接收的数据
    60. .tx_busy (uart_tx_busy), //发送忙状态标志
    61. .send_en (uart_send_en), //发送使能信号
    62. .send_data(uart_send_data) //待发送数据
    63. );
    64. endmodule

    uart_recv.v

    uart_recv 为串口接收模块,从串口接收端口 uart_rxd 来接收上位机发送的串行数据,将其转化为并行数据,并在一帧数据接收结束后给出通知信号 uart_done

    1. `timescale 1ns / 1ps
    2. module uart_recv (
    3. input sys_clk, //系统时钟
    4. input sys_rst_n, //系统复位,低电平有效
    5. input uart_rxd, //UART接收端口
    6. output reg uart_done, //接收一帧数据完成标志
    7. output reg [7:0] uart_data //接收的数据
    8. );
    9. //parameter define
    10. parameter CLK_FREQ = 100000000; //定义系统时钟频率
    11. parameter UART_BPS = 115200; //定义串口波特率
    12. localparam BPS_CNT = CLK_FREQ / UART_BPS; //为得到指定波特率,
    13. //需要对系统时钟计数BPS_CNT次
    14. //reg define
    15. reg uart_rxd_d0;
    16. reg uart_rxd_d1;
    17. reg [15:0] clk_cnt; //系统时钟计数器
    18. reg [ 3:0] rx_cnt; //接收数据计数器
    19. reg rx_flag; //接收过程标志信号
    20. reg [ 7:0] rxdata; //接收数据寄存器
    21. //wire define
    22. wire start_flag;
    23. //*****************************************************
    24. //** main code
    25. //*****************************************************
    26. //捕获接收端口下降沿(起始位),得到一个时钟周期的脉冲信号
    27. assign start_flag = uart_rxd_d1 & (~uart_rxd_d0);
    28. //对UART接收端口的数据延迟两个时钟周期
    29. always @(posedge sys_clk or negedge sys_rst_n) begin
    30. if (!sys_rst_n) begin
    31. uart_rxd_d0 <= 1'b0;
    32. uart_rxd_d1 <= 1'b0;
    33. end else begin
    34. uart_rxd_d0 <= uart_rxd;
    35. uart_rxd_d1 <= uart_rxd_d0;
    36. end
    37. end
    38. //当脉冲信号start_flag到达时,进入接收过程
    39. always @(posedge sys_clk or negedge sys_rst_n) begin
    40. if (!sys_rst_n) rx_flag <= 1'b0;
    41. else begin
    42. if (start_flag) //检测到起始位
    43. rx_flag <= 1'b1; //进入接收过程,标志位rx_flag拉高
    44. //计数到停止位中间时,停止接收过程
    45. else if ((rx_cnt == 4'd9) && (clk_cnt == BPS_CNT / 2))
    46. rx_flag <= 1'b0; //接收过程结束,标志位rx_flag拉低
    47. else rx_flag <= rx_flag;
    48. end
    49. end
    50. //进入接收过程后,启动系统时钟计数器
    51. always @(posedge sys_clk or negedge sys_rst_n) begin
    52. if (!sys_rst_n) clk_cnt <= 16'd0;
    53. else if (rx_flag) begin //处于接收过程
    54. if (clk_cnt < BPS_CNT - 1) clk_cnt <= clk_cnt + 1'b1;
    55. else clk_cnt <= 16'd0; //对系统时钟计数达一个波特率周期后清零
    56. end else clk_cnt <= 16'd0; //接收过程结束,计数器清零
    57. end
    58. //进入接收过程后,启动接收数据计数器
    59. always @(posedge sys_clk or negedge sys_rst_n) begin
    60. if (!sys_rst_n) rx_cnt <= 4'd0;
    61. else if (rx_flag) begin //处于接收过程
    62. if (clk_cnt == BPS_CNT - 1) //对系统时钟计数达一个波特率周期
    63. rx_cnt <= rx_cnt + 1'b1; //此时接收数据计数器加1
    64. else rx_cnt <= rx_cnt;
    65. end else rx_cnt <= 4'd0; //接收过程结束,计数器清零
    66. end
    67. //根据接收数据计数器来寄存uart接收端口数据
    68. always @(posedge sys_clk or negedge sys_rst_n) begin
    69. if (!sys_rst_n) rxdata <= 8'd0;
    70. else if (rx_flag) //系统处于接收过程
    71. if (clk_cnt == BPS_CNT / 2) begin //判断系统时钟计数器计数到数据位中间
    72. case (rx_cnt)
    73. 4'd1: rxdata[0] <= uart_rxd_d1; //寄存数据位最低位
    74. 4'd2: rxdata[1] <= uart_rxd_d1;
    75. 4'd3: rxdata[2] <= uart_rxd_d1;
    76. 4'd4: rxdata[3] <= uart_rxd_d1;
    77. 4'd5: rxdata[4] <= uart_rxd_d1;
    78. 4'd6: rxdata[5] <= uart_rxd_d1;
    79. 4'd7: rxdata[6] <= uart_rxd_d1;
    80. 4'd8: rxdata[7] <= uart_rxd_d1; //寄存数据位最高位
    81. default: ;
    82. endcase
    83. end else rxdata <= rxdata;
    84. else rxdata <= 8'd0;
    85. end
    86. //数据接收完毕后给出标志信号并寄存输出接收到的数据
    87. always @(posedge sys_clk or negedge sys_rst_n) begin
    88. if (!sys_rst_n) begin
    89. uart_data <= 8'd0;
    90. uart_done <= 1'b0;
    91. end else if (rx_cnt == 4'd9) begin //接收数据计数器计数到停止位时
    92. uart_data <= rxdata; //寄存输出接收到的数据
    93. uart_done <= 1'b1; //并将接收完成标志位拉高
    94. end else begin
    95. uart_data <= 8'd0;
    96. uart_done <= 1'b0;
    97. end
    98. end
    99. endmodule

    uart_send.v

    uart_send 为串口发送模块,以 uart_en 为发送使能信号。 uart_en 的上升沿将启动一次串口发送过程,将接收到的并行 数据转化为串行数据,再通过串口发送端口 uart_txd 发送出去。
    1. `timescale 1ns / 1ps
    2. module uart_send (
    3. input sys_clk, //系统时钟
    4. input sys_rst_n, //系统复位,低电平有效
    5. input uart_en, //发送使能信号
    6. input [7:0] uart_din, //待发送数据
    7. output uart_tx_busy, //发送忙状态标志
    8. output reg uart_txd //UART发送端口
    9. );
    10. //parameter define
    11. parameter CLK_FREQ = 100000000; //定义系统时钟频率
    12. parameter UART_BPS = 115200; //定义串口波特率
    13. localparam BPS_CNT = CLK_FREQ/UART_BPS; //为得到指定波特率,对系统时钟计数BPS_CNT次
    14. //reg define
    15. reg uart_en_d0;
    16. reg uart_en_d1;
    17. reg [15:0] clk_cnt; //系统时钟计数器
    18. reg [ 3:0] tx_cnt; //发送数据计数器
    19. reg tx_flag; //发送过程标志信号
    20. reg [ 7:0] tx_data; //寄存发送数据
    21. //wire define
    22. wire en_flag;
    23. //*****************************************************
    24. //** main code
    25. //*****************************************************
    26. //在串口发送过程中给出忙状态标志
    27. assign uart_tx_busy = tx_flag;
    28. //捕获uart_en上升沿,得到一个时钟周期的脉冲信号
    29. assign en_flag = (~uart_en_d1) & uart_en_d0;
    30. //对发送使能信号uart_en延迟两个时钟周期
    31. always @(posedge sys_clk or negedge sys_rst_n) begin
    32. if (!sys_rst_n) begin
    33. uart_en_d0 <= 1'b0;
    34. uart_en_d1 <= 1'b0;
    35. end else begin
    36. uart_en_d0 <= uart_en;
    37. uart_en_d1 <= uart_en_d0;
    38. end
    39. end
    40. //当脉冲信号en_flag到达时,寄存待发送的数据,并进入发送过程
    41. always @(posedge sys_clk or negedge sys_rst_n) begin
    42. if (!sys_rst_n) begin
    43. tx_flag <= 1'b0;
    44. tx_data <= 8'd0;
    45. end else if (en_flag) begin //检测到发送使能上升沿
    46. tx_flag <= 1'b1; //进入发送过程,标志位tx_flag拉高
    47. tx_data <= uart_din; //寄存待发送的数据
    48. end //计数到停止位结束时,停止发送过程
    49. else if ((tx_cnt == 4'd9) && (clk_cnt == BPS_CNT - (BPS_CNT / 16))) begin
    50. tx_flag <= 1'b0; //发送过程结束,标志位tx_flag拉低
    51. tx_data <= 8'd0;
    52. end else begin
    53. tx_flag <= tx_flag;
    54. tx_data <= tx_data;
    55. end
    56. end
    57. //进入发送过程后,启动系统时钟计数器
    58. always @(posedge sys_clk or negedge sys_rst_n) begin
    59. if (!sys_rst_n) clk_cnt <= 16'd0;
    60. else if (tx_flag) begin //处于发送过程
    61. if (clk_cnt < BPS_CNT - 1) clk_cnt <= clk_cnt + 1'b1;
    62. else clk_cnt <= 16'd0; //对系统时钟计数达一个波特率周期后清零
    63. end else clk_cnt <= 16'd0; //发送过程结束
    64. end
    65. //进入发送过程后,启动发送数据计数器
    66. always @(posedge sys_clk or negedge sys_rst_n) begin
    67. if (!sys_rst_n) tx_cnt <= 4'd0;
    68. else if (tx_flag) begin //处于发送过程
    69. if (clk_cnt == BPS_CNT - 1) //对系统时钟计数达一个波特率周期
    70. tx_cnt <= tx_cnt + 1'b1; //此时发送数据计数器加1
    71. else tx_cnt <= tx_cnt;
    72. end else tx_cnt <= 4'd0; //发送过程结束
    73. end
    74. //根据发送数据计数器来给uart发送端口赋值
    75. always @(posedge sys_clk or negedge sys_rst_n) begin
    76. if (!sys_rst_n) uart_txd <= 1'b1;
    77. else if (tx_flag)
    78. case (tx_cnt)
    79. 4'd0: uart_txd <= 1'b0; //起始位
    80. 4'd1: uart_txd <= tx_data[0]; //数据位最低位
    81. 4'd2: uart_txd <= tx_data[1];
    82. 4'd3: uart_txd <= tx_data[2];
    83. 4'd4: uart_txd <= tx_data[3];
    84. 4'd5: uart_txd <= tx_data[4];
    85. 4'd6: uart_txd <= tx_data[5];
    86. 4'd7: uart_txd <= tx_data[6];
    87. 4'd8: uart_txd <= tx_data[7]; //数据位最高位
    88. 4'd9: uart_txd <= 1'b1; //停止位
    89. default: ;
    90. endcase
    91. else uart_txd <= 1'b1; //空闲时发送端口为高电平
    92. end
    93. endmodule

    uart_loop.v

    uart_loop 模块负责完成串口数据的环回功能。它在 uart_recv 模块接收完成后,将接收到的串口数据发送到 uart_send 模块,并通过 send_en 接口给出一个上升沿,以启动发送过程。

    1. `timescale 1ns / 1ps
    2. module uart_loop (
    3. input sys_clk, //系统时钟
    4. input sys_rst_n, //系统复位,低电平有效
    5. input recv_done, //接收一帧数据完成标志
    6. input [7:0] recv_data, //接收的数据
    7. input tx_busy, //发送忙状态标志
    8. output reg send_en, //发送使能信号
    9. output reg [7:0] send_data //待发送数据
    10. );
    11. //reg define
    12. reg recv_done_d0;
    13. reg recv_done_d1;
    14. reg tx_ready;
    15. //wire define
    16. wire recv_done_flag;
    17. //*****************************************************
    18. //** main code
    19. //*****************************************************
    20. //捕获recv_done上升沿,得到一个时钟周期的脉冲信号
    21. assign recv_done_flag = (~recv_done_d1) & recv_done_d0;
    22. //对发送使能信号recv_done延迟两个时钟周期
    23. always @(posedge sys_clk or negedge sys_rst_n) begin
    24. if (!sys_rst_n) begin
    25. recv_done_d0 <= 1'b0;
    26. recv_done_d1 <= 1'b0;
    27. end else begin
    28. recv_done_d0 <= recv_done;
    29. recv_done_d1 <= recv_done_d0;
    30. end
    31. end
    32. //判断接收完成信号,并在串口发送模块空闲时给出发送使能信号
    33. always @(posedge sys_clk or negedge sys_rst_n) begin
    34. if (!sys_rst_n) begin
    35. tx_ready <= 1'b0;
    36. send_en <= 1'b0;
    37. send_data <= 8'd0;
    38. end else begin
    39. if (recv_done_flag) begin //检测串口接收到数据
    40. tx_ready <= 1'b1; //准备启动发送过程
    41. send_en <= 1'b0;
    42. send_data <= recv_data; //寄存串口接收的数据
    43. end else if (tx_ready && (~tx_busy)) begin //检测串口发送模块空闲
    44. tx_ready <= 1'b0; //准备过程结束
    45. send_en <= 1'b1; //拉高发送使能信号
    46. end else begin
    47. tx_ready <= tx_ready;
    48. send_en <= send_en;
    49. send_data <= send_data;
    50. end
    51. end
    52. end
    53. endmodule
    pin.xdc
    1. #IO管脚约束
    2. #时钟周期约束
    3. create_clock -period 10.000 -name sys_clk_p [get_ports sys_clk_p]
    4. #时钟
    5. set_property IOSTANDARD DIFF_HSTL_I_12 [get_ports sys_clk_p]
    6. set_property IOSTANDARD DIFF_HSTL_I_12 [get_ports sys_clk_n]
    7. set_property PACKAGE_PIN AE5 [get_ports sys_clk_p]
    8. set_property PACKAGE_PIN AF5 [get_ports sys_clk_n]
    9. #复位
    10. set_property -dict {PACKAGE_PIN AH11 IOSTANDARD LVCMOS33} [get_ports sys_rst_n]
    11. #接收发送
    12. set_property -dict {PACKAGE_PIN AB9 IOSTANDARD LVCMOS33} [get_ports uart_rxd]
    13. set_property -dict {PACKAGE_PIN AB10 IOSTANDARD LVCMOS33} [get_ports uart_txd]

  • 相关阅读:
    【计算机毕业设计】71.大学生兼职信息系统源码
    java计算机毕业设计健身俱乐部业务关系系统源码+mysql数据库+系统+lw文档+部署
    【Android UI】贝塞尔曲线 ③ ( 贝塞尔曲线关键点坐标记录 | 二阶贝塞尔曲线示例 )
    如何选择最佳视频网站服务器?
    创建私有CA,我就用openSSL
    STM32物联网项目-RS485通信(Modbus协议)
    【rar密码】WinRAR整理密码,如何使用?
    [python] 向量检索库Faiss使用指北
    机器学习模型超参数优化最常用的5个工具包
    习题:选择结构(二)
  • 原文地址:https://blog.csdn.net/u013075338/article/details/136217461