北邮22信通一枚~
跟随课程进度更新北邮信通院数字系统设计的笔记、代码和文章
持续关注作者 迎接数电实验学习~
获取更多文章,请访问专栏:
北邮22级信通院数电实验_青山如墨雨如画的博客-CSDN博客

目录
- module reg_74LS374
- (
- input [7:0] D_in,
- input clk,
-
- output reg [7:0] D_out
- );
- always @ (posedge clk)
- begin D_out <=D_in; end
- endmodule
- `timescale 1ns/1ps
- module reg_74LS374_tb();
- reg clk;
- reg [7:0] D_in;
-
- wire [7:0] D_out;
-
- initial begin
- repeat(20) begin
- clk=1'b0;#200;
- clk=1'b1;#200;
- end
- $stop;
- end
-
- initial begin
- repeat(2) begin
- D_in=8'b0000_0001;#250;
- D_in=8'b0000_0010;#250;
- D_in=8'b0000_0100;#250;
- D_in=8'b0000_1000;#250;
- D_in=8'b0001_0000;#250;
- D_in=8'b0010_0000;#250;
- D_in=8'b0100_0000;#250;
- D_in=8'b10000_0000;#250;
- end
- $stop;
- end
- reg_74LS374 reg_1
- (
- .clk(clk),
- .D_in(D_in),
- .D_out(D_out)
- );
-
- endmodule
