• 「Verilog学习笔记」位拆分与运算


    专栏前言

    本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

    1、寄存器的位是可以分开单独运算的,并不是一个输入就一定是一个数据,在很多情况下,一个输入既包括数据又包括地址等其他有效信息

    2、需要考虑数据锁存的问题,一定要在sel为0的时候进行锁存,只有此时的写入才是有效的(validout的下降沿写入有效),同时存在多种情况且没有优先级问题,建议使用case语句

    1. `timescale 1ns/1ns
    2. module data_cal(
    3. input clk,
    4. input rst,
    5. input [15:0]d,
    6. input [1:0]sel,
    7. output reg [4:0] out,
    8. output reg validout
    9. );
    10. //*************code***********//
    11. reg [15:0] data_lock ;
    12. always @ (posedge clk or negedge rst) begin
    13. if (~rst)
    14. data_lock <= 0 ;
    15. else if (!sel)
    16. data_lock <= d ;
    17. end
    18. always @ (posedge clk or negedge rst) begin
    19. if (~rst) begin
    20. out <= 1'b0 ;
    21. validout <= 0 ;
    22. end
    23. else begin
    24. case (sel)
    25. 0 : begin
    26. out <= 1'b0 ;
    27. validout <= 0 ;
    28. end
    29. 1 : begin
    30. out <= data_lock[3:0] + data_lock[7:4] ;
    31. validout <= 1 ;
    32. end
    33. 2 : begin
    34. out <= data_lock[3:0] + data_lock[11:8] ;
    35. validout <= 1 ;
    36. end
    37. 3 : begin
    38. out <= data_lock[3:0] + data_lock[15:12] ;
    39. validout <= 1 ;
    40. end
    41. endcase
    42. end
    43. end
    44. //*************code***********//
    45. endmodule

    Testbench

    1. `timescale 1ns/1ns
    2. module testbench();
    3. reg clk = 1 ;
    4. always #5 clk = ~clk ; // Create clock with period=10
    5. // A testbench
    6. reg rst ;
    7. reg [15:0] d ;
    8. reg [1:0] sel ;
    9. wire [4:0] out ;
    10. wire validout ;
    11. data_cal u1(
    12. .clk(clk),
    13. .rst(rst),
    14. .d(d),
    15. .sel(sel),
    16. .out(out),
    17. .validout(validout)
    18. );
    19. initial begin
    20. rst = 0 ;
    21. # 10 ;
    22. rst = 1 ;
    23. # 150 ;
    24. end
    25. initial begin
    26. sel = 0 ;
    27. # 30 sel = 2 ;
    28. # 30 sel = 1 ;
    29. # 30 sel = 0 ;
    30. # 10 sel = 3 ;
    31. # 50 ;
    32. $finish ;
    33. end
    34. initial begin
    35. d = 16'b0 ;
    36. # 20 d = 16'b1000010000100001 ;
    37. # 40 d = 16'b1000010000100011 ;
    38. # 50 d = 16'b1000010000100111 ;
    39. # 40 ;
    40. end
    41. //end
    42. initial begin
    43. $dumpfile("out.vcd");
    44. // This will dump all signal, which may not be useful
    45. //$dumpvars;
    46. // dumping only this module
    47. //$dumpvars(1, testbench);
    48. // dumping only these variable
    49. // the first number (level) is actually useless
    50. $dumpvars(0, testbench);
    51. end
    52. endmodule
  • 相关阅读:
    netns与veth
    第一章:Class文件结构
    「大数据-2.0」安装Hadoop和部署HDFS集群
    (ICRA 2020) Instance Segmentation of LiDAR Point Clouds
    Spring boot Actuator监控管理的快速入门和实战
    读书笔记:《精益数据分析》
    3-MySQL常用数据类型及表管理
    计算机网络复习总结3
    C#的播放资源文件里的音频例子 - 开源研究系列文章
    valid ready握手无气泡
  • 原文地址:https://blog.csdn.net/m0_54689021/article/details/134240023