• FPGA零基础学习:图像显示系统设计


    利用摄像头捕获数据、SDRAM缓存数据、VGA协议驱动屏幕显示图像构成图像实时显示系统。

    摄像头捕获数据的速度(12MHz、6MHz、3MHz)与VGA协议驱动速度(25MHz)不同,导致摄像头捕获数据不能够直接输出给VGA,所以中间必须加入大容量的缓冲器。

    整个设计需要的时钟有:给摄像头提供24MHz的时钟,给SDR SDRAM提供的100MHz的时钟(相移270度),给SDR SDRAM控制器提供的100MHz的时钟,给VGA协议驱动提供的100MHz的时钟。

    时钟产生

    采用片内的PLL产生所需的时钟。

    摄像头驱动设计

    摄像头设计共分为三部分:硬件复位(ov7670_hardware_reset)、寄存器配置(ov7670_reg_init)、数据捕获输出(ov7670_cap)。

    硬件复位和寄存器配置采用24MHz的时钟进行驱动,摄像头捕获模块采用摄像头输出的pclk来进行驱动。

    硬件复位的操作为将cmos_rst_n信号拉低一段时间(大约1ms),拉高后一段时间(大约1ms)内不允许进行任何其他操作。在复位完成后,输出一个复位完成信号。

    1. module ov7670_hardware_reset (
    2. input wire clk,
    3. input wire rst_n,
    4. output wire cmos_rst_n,
    5. output wire cmos_hardware_rst_done
    6. );
    7. parameter T = 50_000;
    8. reg [15:0] cnt;
    9. always @ (posedge clk, negedge rst_n) begin
    10. if (rst_n == 1'b0)
    11. cnt <= 16'd0;
    12. else
    13. if (cnt < T)
    14. cnt <= cnt + 1'b1;
    15. else
    16. cnt <= cnt;
    17. end
    18. assign cmos_rst_n = (cnt < T/2) ? 1'b0 : 1'b1;
    19. assign cmos_hardware_rst_done = (cnt == T) ? 1'b1 : 1'b0;
    20. endmodule

    摄像头有很多寄存器,具体可以查看手册中所对应的信息,这里只给出一些关键寄存器的配置。

    配置寄存器的地址和配置所需的数据拼接到一起形成一个八位的数据。具体代码查看reg_config。

    利用线性序列机实现SCCB协议驱动,将对应的数据配置进去。具体代码查看sccb_wr。

    编写控制器从reg_config中读出数据,控制sccb_wr模块将数据配置到摄像头中,配置完成后需要等待10帧的图像(摄像头输出的VS信号为帧同步信号,有一次的高脉冲表示一帧,设计时只需要等待VS信号的10个上升沿即可),才能够输出稳定的图像信息。具体代码查看ov7670_reg_config_ctrl。

    图像数据的捕获比较简单,按照摄像头手册的标准输出时序进行捕获即可。由于摄像头输出的数据为RGB565,而摄像头接口只有三位数据线,所以输出时,每两个数据对应一个像素点。具体代码查看ov7670_cap。

    VGA协议驱动

    VGA协议与8.5节类似,但是需要在图像显示有效区去读取FIFO,然后将数据输出到VGA接口上。由于摄像头的接口是RGB565,而VGA接口为RGB232接口,故将RGB565对应的高位输出到RGB232上(再分配管脚时,低位不分配也可以)。具体代码查看vga_ctrl。

    SDR SDRAM控制器

    本系统中的图像模式为640X480,在SDRAM中存储的方式设定为SDRAM每一行存储160个像素点,利用四行的存储空间存储一行的图像信息。故而需要将SDR SDRAM控制器中的读写模块更改为页读页写模式,并且每次突发的长度为160。具体代码查看sdr_wr_ctrl和sdr_rd_ctrl。

    SDR SDRAM的控制器中共分为四部分:输入缓冲器(sdr_wrfifo)、输出缓冲器(sdr_rdfifo)、SDR SDRAM驱动(sdr_drive)和读写控制器(sdr_mem_ctrl)。

    输入缓冲器为一个FIFO,捕获到摄像头数据输入到此FIFO中,然后写入到SDRAM中。

    输出缓冲器为一个FIFO,SDRAM的数据输入到此FIFO中,然后被VGA模块读出输出给VGA接口。

    SDR SDRAM驱动为控制接口模块,完成对SDRAM的写入和读出。

    读写控制器为控制上述三个模块进行协调工作的模块:当输入缓冲器中的数量大于160时,读出160个写入SDRAM中;当输出缓冲器中的数量小于160时,从SDRAM中读出160个写入到输出缓冲器中。每次控制读写命令发出后,等待100个时钟周期(等待SDRAM控制器读写进行)。在进行写入和读出时,为了防止图像撕裂(写入速度比读出速度要慢,读出数据时,就会发生前半帧为新数据,后半帧为旧数据,造成一种图像撕裂的感觉),采用两个bank进行缓冲(当输出地址在最后一行时,需要判断输入地址的位置,当输入地址在另外一个bank的下半部分或者已经在本bank时,读地址切换到另外一个bank。写地址正常切换即可)。

    部分参考代码如下:

    顶层代码:

    1. module ov7670_sdram_vga640x480 (
    2. input wire clk, // 50MHz
    3. input wire rst_n,
    4. // VGA
    5. output wire vga_vs,
    6. output wire vga_hs,
    7. output wire [15:0] vga_rgb,
    8. // SDRAM
    9. output wire sdr_clk,
    10. output wire sdr_cke,
    11. output wire sdr_cs_n,
    12. output wire sdr_ras_n,
    13. output wire sdr_cas_n,
    14. output wire sdr_we_n,
    15. output wire [1:0] sdr_ba,
    16. output wire [11:0] sdr_addr,
    17. inout wire [15:0] sdr_dq,
    18. output wire [1:0] sdr_dqm,
    19. // ov7670
    20. output wire cmos_xclk,
    21. output wire cmos_rst_n,
    22. output wire cmos_pwdn,
    23. output wire cmos_sccb_c,
    24. inout wire cmos_sccb_d,
    25. input wire cmos_pclk,
    26. input wire cmos_vs,
    27. input wire cmos_href,
    28. input wire [7:0] cmos_data
    29. );
    30. wire clk_100m;
    31. wire clk_25m;
    32. wire pll_locked;
    33. reg [1:0] rst_n_100m;
    34. reg [1:0] rst_n_cmos_xclk;
    35. reg [1:0] rst_n_25m;
    36. wire cmos_init_done;
    37. wire cmos_cap_valid;
    38. wire [15:0] cmos_cap_data;
    39. wire cmos_frame_flag;
    40. wire vga_rden;
    41. wire [15:0] vga_data;
    42. pll_my pll_my_inst (
    43. .areset ( ~rst_n ),
    44. .inclk0 ( clk ),
    45. .c0 ( clk_100m ),
    46. .c1 ( sdr_clk ),
    47. .c2 ( cmos_xclk ),
    48. .c3 ( clk_25m ),
    49. .locked ( pll_locked )
    50. );
    51. initial rst_n_100m = 2'b00;
    52. always @ (posedge clk_100m) rst_n_100m <= {rst_n_100m[0], pll_locked};
    53. initial rst_n_cmos_xclk = 2'b00;
    54. always @ (posedge cmos_xclk) rst_n_cmos_xclk <= {rst_n_cmos_xclk[0], pll_locked};
    55. initial rst_n_25m = 2'b00;
    56. always @ (posedge clk_25m) rst_n_25m <= {rst_n_25m[0], pll_locked};
    57. ov7670_drive ov7670_drive_inst(
    58. .clk (cmos_xclk),
    59. .rst_n (rst_n_cmos_xclk[1]),
    60. .cmos_rst_n (cmos_rst_n),
    61. .cmos_pwdn (cmos_pwdn),
    62. .cmos_sccb_c (cmos_sccb_c),
    63. .cmos_sccb_d (cmos_sccb_d),
    64. .cmos_pclk (cmos_pclk),
    65. .cmos_vs (cmos_vs),
    66. .cmos_href (cmos_href),
    67. .cmos_data (cmos_data),
    68. .cmos_init_done (cmos_init_done),
    69. .cmos_cap_data (cmos_cap_data),
    70. .cmos_cap_valid (cmos_cap_valid),
    71. .cmos_frame_flag (cmos_frame_flag)
    72. );
    73. fifo_sdr_ctrl fifo_sdr_ctrl_inst(
    74. .clk (clk_100m),
    75. .rst_n (rst_n_100m[1]),
    76. .wrclk (cmos_pclk),
    77. .wren (cmos_cap_valid),
    78. .wrdata (cmos_cap_data),
    79. .rdclk (clk_25m),
    80. .rden (vga_rden),
    81. .rddata (vga_data),
    82. .sdr_cke (sdr_cke),
    83. .sdr_cs_n (sdr_cs_n),
    84. .sdr_ras_n (sdr_ras_n),
    85. .sdr_cas_n (sdr_cas_n),
    86. .sdr_we_n (sdr_we_n),
    87. .sdr_ba (sdr_ba),
    88. .sdr_addr (sdr_addr),
    89. .sdr_dq (sdr_dq),
    90. .sdr_dqm (sdr_dqm)
    91. );
    92. vga_ctrl vga_ctrl_inst(
    93. .clk (clk_25m),
    94. .rst_n (rst_n_25m[1]),
    95. .vga_rden (vga_rden),
    96. .vga_data (vga_data),
    97. .vga_hs (vga_hs),
    98. .vga_vs (vga_vs),
    99. .vga_rgb (vga_rgb)
    100. );
    101. endmodule

    vga_ctrl模块代码:

    1. module vga_ctrl (
    2. input wire clk,
    3. input wire rst_n,
    4. output wire vga_rden,
    5. input wire [15:0] vga_data,
    6. output reg vga_hs,
    7. output reg vga_vs,
    8. output reg [15:0] vga_rgb
    9. );
    10. // 640x480x60Hz
    11. parameter HS_A = 96;
    12. parameter HS_B = 48;
    13. parameter HS_C = 640;
    14. parameter HS_D = 16;
    15. parameter HS_E = 800;
    16. parameter VS_A = 2;
    17. parameter VS_B = 33;
    18. parameter VS_C = 480;
    19. parameter VS_D = 10;
    20. parameter VS_E = 525;
    21. reg [9:0] cnt_hs;
    22. reg [9:0] cnt_vs;
    23. wire hs_en;
    24. wire vs_en;
    25. wire en;
    26. always @ (posedge clk, negedge rst_n) begin
    27. if (rst_n == 1'b0)
    28. cnt_hs <= 10'd0;
    29. else
    30. if (cnt_hs < HS_E - 1'b1)
    31. cnt_hs <= cnt_hs + 1'b1;
    32. else
    33. cnt_hs <= 10'd0;
    34. end
    35. always @ (posedge clk, negedge rst_n) begin
    36. if (rst_n == 1'b0)
    37. cnt_vs <= 10'd0;
    38. else
    39. if (cnt_hs == HS_E - 1'b1)
    40. if (cnt_vs < VS_E - 1'b1)
    41. cnt_vs <= cnt_vs + 1'b1;
    42. else
    43. cnt_vs <= 10'd0;
    44. else
    45. cnt_vs <= cnt_vs;
    46. end
    47. always @ (posedge clk, negedge rst_n) begin
    48. if (rst_n == 1'b0)
    49. vga_hs <= 1'b1;
    50. else
    51. if (cnt_hs < HS_A)
    52. vga_hs <= 1'b0;
    53. else
    54. vga_hs <= 1'b1;
    55. end
    56. always @ (posedge clk, negedge rst_n) begin
    57. if (rst_n == 1'b0)
    58. vga_vs <= 1'b1;
    59. else
    60. if (cnt_vs < VS_A)
    61. vga_vs <= 1'b0;
    62. else
    63. vga_vs <= 1'b1;
    64. end
    65. assign hs_en = (cnt_hs > HS_A + HS_B - 1'b1) && (cnt_hs < HS_A + HS_B + HS_C);
    66. assign vs_en = (cnt_vs > VS_A + VS_B - 1'b1) && (cnt_vs < VS_A + VS_B + VS_C);
    67. assign en = hs_en & vs_en;
    68. assign vga_rden = en;
    69. always @ (posedge clk, negedge rst_n) begin
    70. if (rst_n == 1'b0)
    71. vga_rgb <= 16'd0;
    72. else
    73. if (en == 1'b1)
    74. vga_rgb <= vga_data;
    75. else
    76. vga_rgb <= 16'd0;
    77. end
    78. endmodule

    ov7670_drive模块代码:

    1. module ov7670_drive (
    2. input wire clk,
    3. input wire rst_n,
    4. output wire cmos_rst_n,
    5. output wire cmos_pwdn,
    6. output wire cmos_sccb_c,
    7. inout wire cmos_sccb_d,
    8. input wire cmos_pclk,
    9. input wire cmos_vs,
    10. input wire cmos_href,
    11. input wire [7:0] cmos_data,
    12. output wire cmos_init_done,
    13. output wire [15:0] cmos_cap_data,
    14. output wire cmos_cap_valid,
    15. output wire cmos_frame_flag
    16. );
    17. wire cmos_hardware_rst_done;
    18. assign cmos_pwdn = 1'b0;
    19. reg [1:0] rst_n_pclk;
    20. initial rst_n_pclk = 2'b00;
    21. always @ (posedge cmos_pclk) rst_n_pclk <= {rst_n_pclk[0], rst_n};
    22. ov7670_hardware_reset ov7670_hardware_reset_inst(
    23. .clk (clk),
    24. .rst_n (rst_n),
    25. .cmos_rst_n (cmos_rst_n),
    26. .cmos_hardware_rst_done (cmos_hardware_rst_done)
    27. );
    28. ov7670_reg_init ov7670_reg_init_inst(
    29. .clk (clk),
    30. .rst_n (rst_n),
    31. .cmos_hardware_rst_done (cmos_hardware_rst_done),
    32. .cmos_vs (cmos_vs),
    33. .cmos_frame_flag (cmos_frame_flag),
    34. .cmos_sccb_c (cmos_sccb_c),
    35. .cmos_sccb_d (cmos_sccb_d),
    36. .cmos_init_done (cmos_init_done)
    37. );
    38. ov7670_cap ov7670_cap_inst(
    39. .clk (cmos_pclk),
    40. .rst_n (rst_n_pclk[1]),
    41. .cmos_init_done (cmos_init_done),
    42. .cmos_href (cmos_href),
    43. .cmos_data (cmos_data),
    44. .cmos_cap_data (cmos_cap_data),
    45. .cmos_cap_valid (cmos_cap_valid)
    46. );
    47. endmodule

    fifo_sdr_ctrl模块代码:

    1. module fifo_sdr_ctrl (
    2. input wire clk,
    3. input wire rst_n,
    4. input wire wrclk,
    5. input wire wren,
    6. input wire [15:0] wrdata,
    7. input wire rdclk,
    8. input wire rden,
    9. output wire [15:0] rddata,
    10. output wire sdr_cke,
    11. output wire sdr_cs_n,
    12. output wire sdr_ras_n,
    13. output wire sdr_cas_n,
    14. output wire sdr_we_n,
    15. output wire [1:0] sdr_ba,
    16. output wire [11:0] sdr_addr,
    17. inout wire [15:0] sdr_dq,
    18. output wire [1:0] sdr_dqm
    19. );
    20. wire sdr_wrfifo_rden;
    21. wire [15:0] sdr_wrfifo_rddata;
    22. wire [8:0] sdr_wrfifo_rdusedw;
    23. wire [15:0] sdr_rdfifo_wrdata;
    24. wire sdr_rdfifo_wren;
    25. wire [8:0] sdr_rdfifo_wrusedw;
    26. wire local_sdr_wr;
    27. wire local_sdr_rd;
    28. wire local_sdr_ready;
    29. wire [21:0] local_sdr_addr;
    30. sdr_wrfifo sdr_wrfifo_inst (
    31. .aclr ( ~rst_n ),
    32. .data ( wrdata ),
    33. .rdclk ( clk ),
    34. .rdreq ( sdr_wrfifo_rden ),
    35. .wrclk ( wrclk ),
    36. .wrreq ( wren ),
    37. .q ( sdr_wrfifo_rddata ),
    38. .rdusedw ( sdr_wrfifo_rdusedw )
    39. );
    40. sdr_rdfifo sdr_rdfifo_inst (
    41. .aclr ( ~rst_n ),
    42. .data ( sdr_rdfifo_wrdata ),
    43. .rdclk ( rdclk ),
    44. .rdreq ( rden ),
    45. .wrclk ( clk ),
    46. .wrreq ( sdr_rdfifo_wren ),
    47. .q ( rddata ),
    48. .wrusedw ( sdr_rdfifo_wrusedw )
    49. );
    50. sdr_mem_ctrl sdr_mem_ctrl_inst(
    51. .clk (clk),
    52. .rst_n (rst_n),
    53. .wrfifo_rdusedw (sdr_wrfifo_rdusedw),
    54. .local_sdr_wr (local_sdr_wr),
    55. .local_sdr_addr (local_sdr_addr),
    56. .local_sdr_ready(local_sdr_ready),
    57. .rdfifo_wrusedw (sdr_rdfifo_wrusedw),
    58. .local_sdr_rd (local_sdr_rd)
    59. );
    60. sdr_drive sdr_drive_inst(
    61. .clk (clk),
    62. .rst_n (rst_n),
    63. .local_wr (local_sdr_wr),
    64. .local_rd (local_sdr_rd),
    65. .local_addr (local_sdr_addr),
    66. .local_wrdata_rden (sdr_wrfifo_rden),
    67. .local_wrdata (sdr_wrfifo_rddata),
    68. .local_rddata (sdr_rdfifo_wrdata),
    69. .local_rdflag (sdr_rdfifo_wren),
    70. .local_ready (local_sdr_ready),
    71. .sdr_cke (sdr_cke),
    72. .sdr_cs_n (sdr_cs_n),
    73. .sdr_ras_n (sdr_ras_n),
    74. .sdr_cas_n (sdr_cas_n),
    75. .sdr_we_n (sdr_we_n),
    76. .sdr_ba (sdr_ba),
    77. .sdr_addr (sdr_addr),
    78. .sdr_dq (sdr_dq),
    79. .sdr_dqm (sdr_dqm)
    80. );
    81. endmodule

    具体设计参考代码_15_ov7670_sdram_vga640x480,代码获取方式可以加QQ交流群咨询。

    综合下板后,开发板即可将摄像头捕获到的图像,显示到VGA屏幕上。

    大家好,我是【FPGA功夫熊猫】精益求精,不断推荐好文章。 

  • 相关阅读:
    【整理扑克牌】python实现-附ChatGPT解析
    Spring理解,重要概念及图解,2023秋招spring常见八股文
    WMS仓储管理系统如何保障仓库的安全性
    【vue3】:前端登录功能解决方案
    [机器学习] 通俗理解机器学习分类模型评估指标-准确率、精准率、召回率
    基本算法——直接选择排序
    19 04-读取DTC快照信息
    第六章第一节:图的基本概念和存储及基本操作
    [附源码]计算机毕业设计springboot动物保护协会网站
    获取系统参数System.getProperties()与配置文件参数@Value(“${key}“)
  • 原文地址:https://blog.csdn.net/ONEFPGA/article/details/125497064