目录
题目描述:
请使用异步复位同步释放来将输入数据a存储到寄存器中,并画图说明异步复位同步释放的机制原理
信号示意图:

clk为时钟
rst_n为低电平复位
d信号输入
dout信号输出
波形示意图:

clk为时钟
rst_n为低电平复位
d信号输入
dout信号输出
- `timescale 1ns/1ns
-
-
- module ali16(
- input wire clk,
- input wire rst_n,
- input wire d,
- output reg dout
- );
-
- reg rst0,rst1;
- always @ (posedge clk or negedge rst_n) begin
- if (!rst_n) begin
- rst0 <= 0;
- rst1 <= 0;
- end
- else begin
- rst0 <= 1;
- rst1 <= rst0;
- end
- end
-
-
- always @ (posedge clk or negedge rst1)begin
- if(!rst1) begin
- dout <= 1'b0;
- end
- else begin
- dout <= d;
- end
- end
-
-
- endmodule