码农知识堂 - 1000bd
  •   Python
  •   PHP
  •   JS/TS
  •   JAVA
  •   C/C++
  •   C#
  •   GO
  •   Kotlin
  •   Swift
  • 「Verilog学习笔记」根据状态转移图实现时序电路


    专栏前言

    本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

    这是一个典型的米利型状态机。三段式即可解决。

    米利型状态机:即输出不仅和当前状态有关,也和输入有关。 其中ST0,ST1,ST3的输出可以化简,化简后和C无关。 但是ST2的输出,需要判断输入C。

    1. `timescale 1ns/1ns
    2. module seq_circuit(
    3. input C ,
    4. input clk ,
    5. input rst_n,
    6. output wire Y
    7. );
    8. parameter ST0 = 2'b00 ;
    9. parameter ST1 = 2'b01 ;
    10. parameter ST2 = 2'b10 ;
    11. parameter ST3 = 2'b11 ;
    12. reg [1:0] cur_state ;
    13. reg [1:0] nxt_state ;
    14. reg Y_r ;
    15. always @ (posedge clk or negedge rst_n) begin
    16. if (!rst_n) cur_state <= ST0 ;
    17. else cur_state <= nxt_state ;
    18. end
    19. always @ (*) begin
    20. case (cur_state)
    21. ST0 : begin
    22. if (C == 1'b0) nxt_state <= ST0 ;
    23. else nxt_state <= ST1 ;
    24. end
    25. ST1 : begin
    26. if (C == 1'b0) nxt_state <= ST3 ;
    27. else nxt_state <= ST1 ;
    28. end
    29. ST2 : begin
    30. if (C == 1'b0) nxt_state <= ST0 ;
    31. else nxt_state <= ST2 ;
    32. end
    33. ST3 : begin
    34. if (C == 1'b0) nxt_state <= ST3 ;
    35. else nxt_state <= ST2 ;
    36. end
    37. endcase
    38. end
    39. always @ (*) begin
    40. case (cur_state)
    41. ST0 : begin
    42. Y_r <= 1'b0 ;
    43. end
    44. ST1 : begin
    45. Y_r <= 1'b0 ;
    46. end
    47. ST2 : begin
    48. if (C == 1'b0) Y_r <= 1'b0 ;
    49. else Y_r <= 1'b1 ;
    50. end
    51. ST3 : begin
    52. Y_r <= 1'b1 ;
    53. end
    54. endcase
    55. end
    56. assign Y = Y_r ;
    57. endmodule
  • 相关阅读:
    智能驾驶感知技术的综述与展望
    Ubuntu24.04下安装docker,并pull ubuntu22.04,然后编译安装vpp
    Git教程详细版
    WPF: XAML语法规范详解
    C++ 模板同时使用默认参数和偏特化
    typescript对类型的管理和查找规则
    vxe表格行拖拽
    离散数学之 一阶逻辑等值演算与推理
    el-tree 获取当前勾选节点的选中状态以及选中值对象 触发check-change多次事件问题原因
    主动发现系统稳定性缺陷:混沌工程
  • 原文地址:https://blog.csdn.net/m0_54689021/article/details/134493754
  • 最新文章
  • 攻防演习之三天拿下官网站群
    数据安全治理学习——前期安全规划和安全管理体系建设
    企业安全 | 企业内一次钓鱼演练准备过程
    内网渗透测试 | Kerberos协议及其部分攻击手法
    0day的产生 | 不懂代码的"代码审计"
    安装scrcpy-client模块av模块异常,环境问题解决方案
    leetcode hot100【LeetCode 279. 完全平方数】java实现
    OpenWrt下安装Mosquitto
    AnatoMask论文汇总
    【AI日记】24.11.01 LangChain、openai api和github copilot
  • 热门文章
  • 十款代码表白小特效 一个比一个浪漫 赶紧收藏起来吧!!!
    奉劝各位学弟学妹们,该打造你的技术影响力了!
    五年了,我在 CSDN 的两个一百万。
    Java俄罗斯方块,老程序员花了一个周末,连接中学年代!
    面试官都震惊,你这网络基础可以啊!
    你真的会用百度吗?我不信 — 那些不为人知的搜索引擎语法
    心情不好的时候,用 Python 画棵樱花树送给自己吧
    通宵一晚做出来的一款类似CS的第一人称射击游戏Demo!原来做游戏也不是很难,连憨憨学妹都学会了!
    13 万字 C 语言从入门到精通保姆级教程2021 年版
    10行代码集2000张美女图,Python爬虫120例,再上征途
Copyright © 2022 侵权请联系2656653265@qq.com    京ICP备2022015340号-1
正则表达式工具 cron表达式工具 密码生成工具

京公网安备 11010502049817号