• 基于FPGA的图像RGB转HSV实现,包含testbench和MATLAB辅助验证程序


    目录

    1.算法运行效果图预览

    2.算法运行软件版本

    3.部分核心程序

    4.算法理论概述

    4.1. RGB与HSV色彩空间

    4.2. RGB到HSV转换原理

    5.算法完整程序工程


    1.算法运行效果图预览

    将FPGA的仿真结果导入到matlab中:

    2.算法运行软件版本

    vivado2019.2

    matlab2022a

    3.部分核心程序

    1. `timescale 1ns / 1ps
    2. //
    3. // Company:
    4. // Engineer:
    5. //
    6. // Create Date: 2023/08/01
    7. // Design Name:
    8. // Module Name: RGB2gray
    9. // Project Name:
    10. // Target Devices:
    11. // Tool Versions:
    12. // Description:
    13. //
    14. // Dependencies:
    15. //
    16. // Revision:
    17. // Revision 0.01 - File Created
    18. // Additional Comments:
    19. //
    20. //
    21. module test_image;
    22. reg i_clk;
    23. reg i_rst;
    24. reg [7:0] Rbuff [0:100000];
    25. reg [7:0] Gbuff [0:100000];
    26. reg [7:0] Bbuff [0:100000];
    27. reg [7:0] i_Ir,i_Ig,i_Ib;
    28. wire [7:0] o_H,o_S,o_V;
    29. integer fids1,dat1,fids2,dat2,fids3,dat3,jj=0;
    30. //D:\FPGA_Proj\FPGAtest\codepz
    31. initial
    32. begin
    33. fids1 = $fopen("D:\\FPGA_Proj\\FPGAtest\\codepz\\R.bmp","rb");
    34. dat1 = $fread(Rbuff,fids1);
    35. $fclose(fids1);
    36. end
    37. initial
    38. begin
    39. fids2 = $fopen("D:\\FPGA_Proj\\FPGAtest\\codepz\\G.bmp","rb");
    40. dat2 = $fread(Gbuff,fids2);
    41. $fclose(fids2);
    42. end
    43. initial
    44. begin
    45. fids3 = $fopen("D:\\FPGA_Proj\\FPGAtest\\codepz\\B.bmp","rb");
    46. dat3 = $fread(Bbuff,fids3);
    47. $fclose(fids3);
    48. end
    49. initial
    50. begin
    51. i_clk=1;
    52. i_rst=1;
    53. #1200;
    54. i_rst=0;
    55. end
    56. always #5 i_clk=~i_clk;
    57. always@(posedge i_clk)
    58. begin
    59. i_Ir<=Rbuff[jj];
    60. i_Ig<=Gbuff[jj];
    61. i_Ib<=Bbuff[jj];
    62. jj<=jj+1;
    63. end
    64. main_RGB2HSV main_RGB2HSV_u(
    65. .i_clk (i_clk),
    66. .i_rst (i_rst),
    67. .i_image_R (i_Ir),
    68. .i_image_G (i_Ig),
    69. .i_image_B (i_Ib),
    70. .o_H (o_H),// Y
    71. .o_S (o_S),// Y
    72. .o_V (o_V)
    73. );
    74. integer fout1;
    75. initial begin
    76. fout1 = $fopen("H.txt","w");
    77. end
    78. always @ (posedge i_clk)
    79. begin
    80. if(jj<=66616)
    81. $fwrite(fout1,"%d\n",o_H);
    82. else
    83. $fwrite(fout1,"%d\n",0);
    84. end
    85. integer fout2;
    86. initial begin
    87. fout2 = $fopen("S.txt","w");
    88. end
    89. always @ (posedge i_clk)
    90. begin
    91. if(jj<=66616)
    92. $fwrite(fout2,"%d\n",o_S);
    93. else
    94. $fwrite(fout2,"%d\n",0);
    95. end
    96. integer fout3;
    97. initial begin
    98. fout3 = $fopen("V.txt","w");
    99. end
    100. always @ (posedge i_clk)
    101. begin
    102. if(jj<=66616)
    103. $fwrite(fout3,"%d\n",o_V);
    104. else
    105. $fwrite(fout3,"%d\n",0);
    106. end
    107. endmodule
    108. 0X_022m

    4.算法理论概述

            在数字图像处理中,色彩空间的转换是常见的操作。其中,RGB和HSV是两种经常使用的色彩空间。RGB基于红、绿、蓝三种颜色的组合,而HSV则代表色相、饱和度和明度。本文将探讨如何基于FPGA实现RGB到HSV的转换,并深入讨论其背后的原理和数学公式。

    4.1. RGB与HSV色彩空间

           RGB色彩空间:RGB色彩模型采用三维笛卡尔坐标系统,红、绿、蓝三原色位于三个角上。原色值位于坐标轴上的点,而其他颜色则位于立方体内部。通过三原色的不同强度组合,可以得到各种颜色。 RGB是从颜色发光的原理来设计定的,通俗点说它的颜色混合方式就好像有红、绿、蓝三盏灯,当它们的光相互叠合的时候,色彩相混,而亮度却等于两者亮度之总和,越混合亮度越高,即加法混合。红、绿、蓝三个颜色通道每种色各分为256阶亮度,在0时“灯”最弱——是关掉的,而在255时“灯”最亮。当三色灰度数值相同时,产生不同灰度值的灰色调,即三色灰度都为0时,是最暗的黑色调;三色灰度都为255时,是最亮的白色调。在电脑中,RGB的所谓“多少”就是指亮度,并使用整数来表示。通常情况下,RGB各有256级亮度,用数字表示为从0、1、2...直到255。注意虽然数字最高是255,但0也是数值之一,因此共256级。

           HSV色彩空间:HSV色彩空间更加接近人类视觉对色彩的感知。其中,H(Hue)代表色相,表示颜色的基本属性;S(Saturation)代表饱和度,表示颜色的深浅;V(Value)代表明度,表示颜色的明亮程度。

           HSV是一种比较直观的颜色模型,所以在许多图像编辑工具中应用比较广泛,这个模型中颜色的参数分别是:色调(H, Hue),饱和度(S,Saturation),明度(V, Value)。

    色调H

            用角度度量,取值范围为0°~360°,从红色开始按逆时针方向计算,红色为0°,绿色为120°,蓝色为240°。它们的补色是:黄色为60°,青色为180°,品红为300°;

    饱和度S

            饱和度S表示颜色接近光谱色的程度。一种颜色,可以看成是某种光谱色与白色混合的结果。其中光谱色所占的比例愈大,颜色接近光谱色的程度就愈高,颜色的饱和度也就愈高。饱和度高,颜色则深而艳。光谱色的白光成分为0,饱和度达到最高。通常取值范围为0%~100%,值越大,颜色越饱和。

    明度V

            明度表示颜色明亮的程度,对于光源色,明度值与发光体的光亮度有关;对于物体色,此值和物体的透射比或反射比有关。通常取值范围为0%(黑)到100%(白)。

    4.2. RGB到HSV转换原理

    RGB到HSV的转换涉及以下步骤:

    首先将RGB值标准化到[0,1]范围。对于8位的RGB值,可以通过除以255来完成这一步。

    然后计算色相H,饱和度S,明度V

    5.算法完整程序工程

    OOOOO

    OOO

    O

  • 相关阅读:
    互联网热门词汇:移动互联网最常出现的名词有哪些?
    [ vulhub漏洞复现篇 ] JBOSS AS 5.x/6.x反序列化远程代码执行漏洞CVE-2017-12149
    MindSpore训练出来ckpt模型如何转成om模型
    PostgreSQL的MVCC对比Oracle的MVCC有什么优劣势?
    java-数组
    测试工程师应该具备什么能力才能拿到30k?
    MapStruct介绍以及VO、DTO、PO、DO的区别
    【论文精读】NMP: End-to-end Interpretable Neural Motion Planner
    C/C++教程 从入门到精通《第十二章》——MFC的基本使用
    初识string+简单用法(一)
  • 原文地址:https://blog.csdn.net/aycd1234/article/details/134311361