码农知识堂 - 1000bd
  •   Python
  •   PHP
  •   JS/TS
  •   JAVA
  •   C/C++
  •   C#
  •   GO
  •   Kotlin
  •   Swift
  • 北邮22级信通院数电:Verilog-FPGA(7)第七周实验(1):带使能端的38译码器&&全加器(关注我的uu们加群咯~)


    北邮22信通一枚~

    跟随课程进度更新北邮信通院数字系统设计的笔记、代码和文章

    持续关注作者 迎接数电实验学习~

    获取更多文章,请访问专栏:

    北邮22级信通院数电实验_青山如墨雨如画的博客-CSDN博客

    关注作者的uu们可以进群啦~ 

    目录

    关注作者的uu们可以进群啦~ 

     方法一:modelsim仿真检验结果

    1.1verilog代码

    1.1.1 decode_38.v(顶层模块)

    1.1.2decode_38_tb.v

    1.2仿真步骤

    1.3仿真结果&&波形显示

    方法二:FPGA操作显示结果

    2.1 verilog代码

    2.1.1 decode_38.v

    2.1.2 decoders.v

    2.2管脚分配

    2.3 结果表示


    方法一:modelsim仿真检验结果

    1.1verilog代码

    1.1.1 decode_38.v(顶层模块)

    1. module decode_38(a,b,c1,s,c2);
    2. input a,b,c1;
    3. reg [7:0] out;
    4. output s,c2;
    5. always@(a,b,c1)
    6. begin
    7. case({a,b,c1})
    8. 3'b000:out=8'b0000_0001;
    9. 3'b001:out=8'b0000_0010;
    10. 3'b010:out=8'b0000_0100;
    11. 3'b011:out=8'b0000_1000;
    12. 3'b100:out=8'b0001_0000;
    13. 3'b101:out=8'b0010_0000;
    14. 3'b110:out=8'b0100_0000;
    15. 3'b111:out=8'b1000_0000;
    16. endcase
    17. end
    18. assign s=out[1]|out[2]|out[5]|out[7];
    19. assign c2=out[3]|out[5]|out[6]|out[7];
    20. endmodule

    1.1.2decode_38_tb.v

    1. `timescale 1ns/1ps
    2. module decode_38_tb;
    3. reg a;
    4. reg b;
    5. reg c1;
    6. wire out,c2;
    7. decode_38 decode_1
    8. (
    9. .a(a),
    10. .b(b),
    11. .c1(c1),
    12. .s(out),
    13. .c2(c2)
    14. );
    15. initial begin
    16. a=0;b=0;c1=0;
    17. #200;
    18. a=0;b=0;c1=1;
    19. #200;
    20. a=0;b=1;c1=0;
    21. #200;
    22. a=0;b=1;c1=1;
    23. #200;
    24. a=1;b=0;c1=0;
    25. #200;
    26. a=1;b=0;c1=1;
    27. #200;
    28. a=1;b=1;c1=0;
    29. #200;
    30. a=1;b=1;c1=1;
    31. #200;
    32. $stop;
    33. end
    34. endmodule

    1.2仿真步骤

    assignments->settings->simulation->勾选 compile test bench

    选择test benches选项

     

     

     

     

     

     

    tools->run simulation tool->RTL simulation 调出modelsim开始仿真测试

    modelsim破解安装教程见北邮22级信通院数电:Verilog-FPGA(2)modelsim北邮信通专属下载、破解教程_青山入墨雨如画的博客-CSDN博客 modelsim仿真遇到的常见问题见

    北邮22级信通院数电:Verilog-FPGA(3)实验“跑通第一个例程”modelsim仿真及遇到的问题汇总(持续更新中)-CSDN博客

    1.3仿真结果&&波形显示

     

    方法二:FPGA操作显示结果

    2.1 verilog代码

    2.1.1 decode_38.v

    1. module decode_38(a,e1,e2_low,e3_low,si,ci);
    2. input [2:0]a;
    3. input e1,e2_low,e3_low;
    4. output si,ci;
    5. wire [7:0] m;
    6. decoders decoder_1(a,~e1,~e2_low,~e3_low,m);
    7. assign si=~(~m[1]|~m[2]|~m[4]|~m[7]);
    8. assign ci=~(~m[3]|~m[5]|~m[6]|~m[7]);
    9. endmodule

    2.1.2 decoders.v

    1. module decoders(a,e1,e2_low,e3_low,out);
    2. input [2:0] a;
    3. input e1;
    4. input e2_low;
    5. input e3_low;
    6. output reg[7:0] out;
    7. always@(a or e1 or e2_low or e3_low)
    8. begin
    9. if(e1&&~e2_low&&~e3_low)
    10. case(a)
    11. 3'b000:out=8'b0000_0001;
    12. 3'b001:out=8'b0000_0010;
    13. 3'b010:out=8'b0000_0100;
    14. 3'b011:out=8'b0000_1000;
    15. 3'b100:out=8'b0001_0000;
    16. 3'b101:out=8'b0010_0000;
    17. 3'b110:out=8'b0100_0000;
    18. 3'b111:out=8'b1000_0000;
    19. default out=8'b1111_1111;
    20. endcase
    21. else
    22. out=8'b1111_1111;
    23. end
    24. endmodule

    2.2管脚分配

    2.3 结果表示

    拨码开关做数据输入,从上到下第一个按键为使能端,led【1】和led【2】做输出信号。

    输入信号一个高电平,低位led【1】灯亮,

    输入信号两个高电平,高位led【2】灯亮,

    输入信号三个高电平,两个led led【1】和led【2】灯都亮。

  • 相关阅读:
    手势识别易语言代码
    uniapp 微信小程序端使用百度地图API
    Oracle数据不常用的函数
    AWS SAA-C03 #207
    Java项目有可能做到所有的代码逻辑均可热部署吗?
    Shell编程入门--概念、特性、bash配置文件
    Python 基础记录 - 第1课
    软件测试面试题:性能测试工作?
    基础sed命令
    C 练习实例75-输入一个整数,并将其反转后输出
  • 原文地址:https://blog.csdn.net/bc202205/article/details/134068118
  • 最新文章
  • 攻防演习之三天拿下官网站群
    数据安全治理学习——前期安全规划和安全管理体系建设
    企业安全 | 企业内一次钓鱼演练准备过程
    内网渗透测试 | Kerberos协议及其部分攻击手法
    0day的产生 | 不懂代码的"代码审计"
    安装scrcpy-client模块av模块异常,环境问题解决方案
    leetcode hot100【LeetCode 279. 完全平方数】java实现
    OpenWrt下安装Mosquitto
    AnatoMask论文汇总
    【AI日记】24.11.01 LangChain、openai api和github copilot
  • 热门文章
  • 十款代码表白小特效 一个比一个浪漫 赶紧收藏起来吧!!!
    奉劝各位学弟学妹们,该打造你的技术影响力了!
    五年了,我在 CSDN 的两个一百万。
    Java俄罗斯方块,老程序员花了一个周末,连接中学年代!
    面试官都震惊,你这网络基础可以啊!
    你真的会用百度吗?我不信 — 那些不为人知的搜索引擎语法
    心情不好的时候,用 Python 画棵樱花树送给自己吧
    通宵一晚做出来的一款类似CS的第一人称射击游戏Demo!原来做游戏也不是很难,连憨憨学妹都学会了!
    13 万字 C 语言从入门到精通保姆级教程2021 年版
    10行代码集2000张美女图,Python爬虫120例,再上征途
Copyright © 2022 侵权请联系2656653265@qq.com    京ICP备2022015340号-1
正则表达式工具 cron表达式工具 密码生成工具

京公网安备 11010502049817号